UC San Diego’s OpenROAD: A Game-Changer in Semiconductor Chip Design Celebrates Milestone

In 2018, a team of the nation’s leading semiconductor experts from industry and academia began a five-and-a-half year journey to complete a moonshot challenge: develop electronic design automation (EDA) tools for 24-hour, no-human-in-the-loop semiconductor chip layout generation.

Led by the University of California San Diego and backed with $17.2 million from DARPA, the researchers did it. They overcame complex technical challenges and successfully created and made available an open-source EDA tool that is lowering barriers of access and democratizing silicon innovation worldwide.

The project, called OpenROAD (Foundations and Realization of Open, Accessible Design), was launched on June 1, 2018 as part of the Intelligent Design of Electronic Assets (IDEA) program within DARPA’s larger Electronics Resurgence Initiative (ERI). ERI and the ongoing ERI 2.0 aim to address the impending engineering and economic challenges now confronting the advancement of microelectronics after 60 years of relentless progress.

The team produced an open-source digital implementation tool that has been used for integrated circuit tapeouts at commercial foundries for semiconductor chips ranging in scale from 180 nanometers down to 12 nanometers. In addition, the OpenROAD open-source EDA tool has been used to introduce tens of thousands of students to chip design — the tool is downloaded over a thousand times a day.

The successes of the OpenROAD project thus far fall into a variety of categories, including:

  • The OpenROAD team created a useful and popular open-source EDA tool which has attracted an enthusiastic and diverse user base of students, educators, academic researchers, and chip designers from well-established companies as well as startups.
  • The team has developed a sustainable business model to allow OpenROAD to continue to develop and thrive long after the initial federal funding has ended.
  • The OpenROAD tool has been widely used in education and workforce development settings to strengthen the skillset of current and future semiconductor innovators.
  • The process of developing OpenROAD has led to significant technological improvements that are benefiting the EDA field more broadly.

“I am so proud of the OpenROAD team and how it has exceeded expectations in so many dimensions. We moved open-source EDA from ‘toy’ status to something people are taking seriously, and we did it while growing the pipeline of chip designers and enabling hardware design startups,” said Andrew B. Kahng, principal investigator of the OpenROAD project and a distinguished professor in the Departments of Computer Science and Engineering, and of Electrical and Computer Engineering, at the UC San Diego Jacobs School of Engineering, where he holds the Endowed Chair in High-Performance Computing.

Building for long-term success

The OpenROAD team began with researchers from six universities — UC San Diego, the University of Michigan, University of Illinois at Urbana-Champaign, University of Texas at Dallas, University of Minnesota, and Brown University — along with industry partners Qualcomm and Arm. Arizona State University was also added to the team in 2020.

Early in the project, the team realized they needed a dedicated, experienced EDA architect and technical manager. They found all this and much more in EDA architect and industry veteran Tom Spyrou. Spyrou created Precision Innovations Inc. (PII), a San Diego-based company that has provided the bulk of software engineering and user support for OpenROAD since 2019.

While the DARPA funding ended on December 31, 2023, support for the project’s suite of tools will continue far into the future thanks to strategic planning and a diverse team that includes world-class technical leadership and project management from EDA industry veterans. With assistance from DARPA’s Embedded Entrepreneur Initiative, PII transitioned OpenROAD toward a sustaining model that will preserve its user and developer community while offering paid support to commercial customers.

Separately, The OpenROAD Initiative (website), a nonprofit 501(c)(3) foundation, was established in February 2023 with the goal of broadly supporting open-source EDA tools and innovation for the semiconductor industry.

Professor Andrew Kahng
Andrew B. Kahng, principal investigator of the OpenROAD project and a distinguished professor in the Departments of Computer Science and Engineering, and of Electrical and Computer Engineering, at the UC San Diego Jacobs School of Engineering

Democratizing EDA access and boosting the EDA industry

OpenROAD is transforming several critical domains for EDA: education and workforce development, research, and tool access for chip architects and designers who seek quick and friction-free exploration of their innovative semiconductor chip design concepts.

“When a chip design tool license costs up to $1 million a year per copy, people can’t easily explore their ideas in silicon. That’s why many design teams see advantages with the OpenROAD tool — it’s free and very scalable, and it enables fast iterations on early design work,” said Kahng.

Traditionally, designing and verifying integrated circuit chips requires large teams of designers using complex and expensive computer-aided design tools for upwards of a year to perform chip floorplanning, device placement and routing — with different specialists and tool chains required for integrated circuits, systems-in-package and printed circuit boards.

Research teams, small companies and Department of Defense researchers often don’t have the human resources needed to even attempt such a daunting design task. Moreover, many organizations are priced out of integrated circuit design, putting a drag on innovation.

Given these roadblocks, open-source EDA such as OpenROAD has the potential to democratize silicon innovation.

The main OpenROAD deliverable — the EDA tool itself — now includes the work of over 120 contributors who have made more than 24,000 updates to the tool in GitHub. The open-source very-large-scale-integration design tool allows users to take novel chip concepts from the very beginning design stages, and produce a tapeout-clean, manufacturable layout within 24 hours. By reducing the cost to try out new chip designs for unique use cases, OpenROAD enables many research and startup ideas to come to fruition that previously wouldn’t have been financially possible, given the cost to design a one-off chip that may not even work.

Kahng, who has advocated for open-source semiconductor EDA tools for decades, said that his primary motivation has been to overcome the barriers to benchmarking and reproducibility in the industry, which have hindered research and innovation in chip design.

“Before OpenROAD, research papers could only be point tool focused. With OpenROAD, an algorithm can be tested and analyzed in the context of the full flow,” added Spyrou.“ This greatly increases the chances that academic research papers will provide industrial benefit. Given all of the papers based on OpenROAD, we can see this becoming very real now.”

Other open-source companies providing tools along the semiconductor design chain are already experiencing the benefits of the OpenROAD tool. For example, thanks to OpenROAD, chip prototyping company Efabless has experienced more than 1,000 new chip designs, 500 tapeouts and thousands of inspired new innovators.

“Thanks to OpenROAD and open-source EDA, students ranging from high schoolers to PhDs from over 50 institutions now have access to fabrication on Efabless chipIgnite,” said Michael Wishart, CEO of Efabless.  “Similarly, dozens of entrepreneurial product companies can now make custom chips to enable cool new products.”

The tool has been embraced by researchers in academia as well as industry, with well over 350 works citing the project GitHub and more than 160 citations of the project’s first learnings paper. Researchers at leading chip companies are also making use of the tool.

“NVIDIA is enabling Generative AI for EDA research with CircuitOps built on OpenROAD,” said Mark Ren, Director of Design Automation Research at NVIDIA.

Educating and strengthening the semiconductor workforce

In addition to enabling researchers and chip architects across a broad swath of industries to experiment with unique electronics, a key element of OpenROAD is allowing students of all ages to get hands-on experience designing a semiconductor chip. With a significant shortfall of trained computer scientists and engineers capable of chip design and fabrication, and a growing need for these skills in the workforce as the CHIPS and Science Act of 2022 seeks to boost the American chip manufacturing industry, OpenROAD has proven to be a key enabler to expose learners to these skills. With no NDAs to sign, no license servers to manage, no cost and no restrictions on use, OpenROAD has been widely adopted by high schools, universities, and skills bootcamps around the world to teach the fundamentals of chip design.

For example, UC Santa Cruz Valley Extension offers an upskilling program for working professionals that includes two courses that teach physical design analysis using OpenROAD; the National Microelectronics Security Training Center has offered a chip design course using the OpenROAD-based Tiny Tapeout and ChipIgnite platforms; Carnegie Mellon offers an OpenROAD-based course for chip design; and IIT Guwahati has conducted an OpenROAD-based workshop, “OpenROAD for Low-cost ASIC design for Rapid Innovation”.

High school students and hobbyists have used OpenROAD-based bootcamps and training modules including the Zero To ASIC Tiny Tapeout training, which several thousand students around the world have now taken. A high school course is under development in San Jose and Los Angeles through the Silicon Valley Education Center; and MIT Lincoln Labs offers a “Basics of ASICs” course leveraging the OpenROAD-based Tiny Tapeout.

“OpenROAD is an incredible success for the country. With Andrew Kahng’s leadership, the team delivered on their moonshot effort to deliver a powerful and greatly needed open-source electronic design automation tool. At the same time, the OpenROAD team brought many new innovations that advanced the field of electronic design automation overall,” said Albert P. Pisano, Dean of the UC San Diego Jacobs School of Engineering and Special Adviser to the Chancellor. “Looking ahead, the team has created a sustainable model to ensure that the OpenROAD project will continue to inspire students and empower entrepreneurs, researchers of all stripes, as well as chip designers at the world’s most influential technology companies.”